Në këtë artikull unë dua të flas për eksperimentet e mia për të krijuar një filtër dixhital të thjeshtë parametrik në Verilog HDL. Deri më sot, u përpoqa të shmangem përpunimin dixhital të sinjaleve në faqen tonë të internetit: të gjitha të njëjta është mjaft e vështirë. Epo, kur është e vështirë, atëherë duhet të kaloni shumë kohë vetëm për një studim të pavarur të çështjes. Tani vetëm një javë kam lexuar site http://www.dsplib.ru/content/filters/ch10/ch10.html - shumë burime interesanteku çdo gjë është shumë koncize dhe e kuptueshme. Pas leximit të disa artikujve nga faqja dspplib.ru, kuptova se nuk do të shkruaja më mirë teorinë. Por unë mund të jem në gjendje të ofroj kuptueshmërinë time dhe zbatimin tim të filtrit në Verilog.
Pra, filtrat digjital janë pajisje të përpunimit të sinjalit digjital.
Një sinjal dixhital është një sekuencë e numrave që përfaqësojnë rezultatin e matjes së një vlere fizike në të njëjtën kohë intervale. Ju mund të matni tensionin, rrymën, ndriçimin, temperaturën, këndin e prirjes, shpejtësinë, etj.
Megjithatë, ka nuanca.
Së pari, thuhet se matjet duhet të bëhen me një frekuencë prej më shumë se 2 herë më të lartë se frekuenca më e lartë e pranishme në spektrin e sinjalit. Kjo po flet për teoremen Kotelnikov. Një pasojë praktike e kësaj - para matjes së sinjalit, duhet të siguroheni që nuk ka komponentë harmonikë me frekuenca mbi gjysmën e frekuencës së matjeve në spektrin e saj. Pra, njehsori kërkon të instalojë filtrin e duhur analog. Nëse kjo nuk është bërë, frekuencat mund të shfaqen në spektrin e sinjalit të digjitalizuar, i cili nuk ishte atje më parë, burimi do të shtrembërohet. Kjo fenomen i dëmshëm quhet "aliasing".
Së dyti, shkarkimi është i rëndësishëm pajisje matëse, ADC, konvertim analog-dixhital. Këtu, për fat të keq, nuk mund të jap asnjë rekomandim. Për disa arsye, në shumë artikuj kam lexuar, thuhet për zgjedhjen e frekuencës së diskretizimit në teoremën e Kotelnikov, por nuk përmend shkarkimin e ADC. Kjo është pak e çuditshme, pasi që teorema e Kotelnikov nënkupton akuzat e marra me saktësi absolute, të cilat jeta reale nuk mund te jete. Ju ende duhet të shikoni në nivelin e zhurmës në sinjalin e hyrjes, në përgjithësi mund të jetë se shkarkimi më i ri i "dridhjes" ADC. Ndoshta, nuk ka kuptim që të marrë ADC me shkarkimin e një "jitter" të disa bit të rinj për shkak të zhurmës në sinjalin e hyrjes.
Një mënyrë ose në një tjetër, pasi sinjali i hyrjes digjitalizohet dhe është një fije e numrave, mund të përpunohet me metoda numerike në mikrokontrollerë ose në PLIS.
Një nga detyrat e përpunimit të sinjalit digjital po filtrohet. Filtrimi nënkupton shtypjen e disa frekuencave në spektrin dhe transmetimin / forcimin e të tjerëve. Shkrova për spektrin.
Ka filtra të ulët të kalimit (filtri me kalime të ulëta), të cilat kalojnë vetëm frekuencat nën frekuencën e specifikuar të fetëve. Ka filtra të frekuencave të larta (të kaluara), të cilat, përkundrazi, shtypin frekuencat nën frekuencën e fetë. Ka filtra të shiritit që kalojnë ose shtypin vetëm frekuencat në një shirit të caktuar (Band-Pass & Band-Stop filtra).
Nga pajisje të brendshme, Mendoj se më e thjeshtë është filtrat më të thjeshtë. Filtri është një filtër me një karakteristikë të vogël të impulsit. Në literaturën e gjuhës angleze Kih është një bredhi, që është, filtri i përgjigjes së impulsit të kufizuar.
Struktura e këtij filtri është kjo:
Këtu blloqet Z -1 janë linjat e vonesës. Në praktikë, zinxhiri i bllokut Z -1 është vetëm një sekuencë e regjistrave të magazinimit. Për çdo front të frekuencës së orës, e cila është frekuenca e marrjes së mostrave, të dhënat e hyrjes po lëvizin nga regjistri i parë në më pas. Filtri mund të përmbajë një zinxhir regjistrimi të gjatësisë arbitrare. Përveç kësaj, nga çdo bllok Z -1 ka transferim të të dhënave në shumëzues. Çdo shumëzues shumëfishon të dhënat e tyre për disa konstante. Pastaj, të gjitha rezultatet e shumëzimit del dhe sinjali i filtruar është marrë. Shkenca e veçantë është llogaritja e gjatësisë së filtrit dhe koeficientëve për shumëzuesit. Karakteristika e filtrit varet nga koeficientët.
Filtri ka disa karakteristika të rëndësishme:
- sjellja e tij është vazhdimisht dhe e parashikueshme;
- nëse dëshironi, ju mund të zbatoni një filtër shumë të shpejtë, pasi që të dhënat e tij të algoritmit po lëvizin vetëm përpara. Është mjaft e lehtë për të bërë përpunimin e të dhënave të transportuesit (tubacioni).
Unë do të shpjegoj se si i kuptoj të dyja këto objekte.
Për stabilitetin dhe parashikueshmërinë. Nëse shikoni nga afër strukturën e filtrit, atëherë lëvizja e të dhënave në të bëhet e qartë. Nëse të dhënat e hyrjes janë 16-bit dhe koeficientët për shumëzuesit 16-bit, pastaj pas shumëzimit, rezultati bëhet 32-bit. Tjetra vjen përmbledhje. Shtimi i dy numrave 32-bit jep një numër 33-bit. Njohja e gjatësisë së filtrit mund të thuhet me siguri se cila rezultat do të jetë rezultati. Filtri 4 do të jetë në prodhim që të ketë një numër dhe pikë 34-bit. Operacionet matematikore brenda filtrit nuk grumbullojnë gabimin, nuk çojnë në tejkalimin e rezultatit. Disa filtra të tjerë, të tillë si bix (filtër me karakteristikë pafundësisht të pulsuar, filtrin e reagimit të pafund të IIR-it) përmbajnë zinxhirë reagim. Për shembull, këtu janë:
Kjo është gjithçka tjetër. Ekziston një shtojcë, e cila mbështjell sinjalin e hyrjes me vonesën dhe shumëzuar me një sinjal të prodhimit të koeficientit. Në rresht fiks. Është e qartë se një kalkulator i tillë mund të tejkalojë lehtë. Këtu është një sinjal me një komponent konstant në një zinxhir të tillë, pastaj pas një kohe do të garantohet të mbizotërojë baterinë e rezultatit. Ka tashmë paparashikueshmëri dhe paqëndrueshmëri. Aplikoni filtrat e biçikletave janë të nevojshme me kujdes, duke shënuar karakterin e hyrjes së sinjalit të hyrjes.
Tani për shpejtësinë e filtrit KIH. Meqenëse të dhënat po lëvizin vetëm përpara, është mjaft e lehtë për t'u përdorur avantazhet e përpunimit të transportuesit. Për shembull, ndërsa shumëzuesit shumëfishohen të dhënat e ardhshme nga regjistrat e mbajtjes, tekder mund të llogarisë shumën nga rezultatet e mëparshme të shumëzuesve. Dhe vetë shumëzuesit mund të përdorin tubacionin e brendshëm dhe tekder mund të përdorë tubacionin e brendshëm. Po, rezultati nga filtri do të arrestohet për disa orë, por vetëm dhe gjithçka. Nëse shikoni në lidhjen e filtrit të BiH, si në figurën e mësipërme, është e qartë se për shkak të reagimeve është e pamundur, derisa shumëzuesi llogarit rezultatin e saj.
Nga qarku strukturor i filtrit, është e qartë se pse quhet një filtër me një karakteristikë të kufizuar të impulsit. Në qoftë se një njësi do të takohen në rrjedhën e numrave të dhëna në mesin e të gjitha zero, që është, një impuls të vetme, përgjigja e filtrit për këtë pulsin do të kalojë / përfundon sa më shpejt që ky impuls lë rreshtin e fundit vonesë. Në filtrat e BIQ, përkundrazi, një puls i vetëm do të kthehet në input përmes zinxhirit të reagimit pafundësisht të gjatë. Nga këtu BiH është një filtër me një karakteristikë impulsi të pafund.
- http://www.micromodeler.com/dsp/
Ne përdorim mjetin tfilter.
Për shembull, unë dua të ndërtoj një filtër dixhital të ulët të kalimit. Frekuenca e diskretizimit prej 20 MHz. Unë dua të shtyp në mënyrë të konsiderueshme të gjitha frekuencat në frekuenca mbi 2x MHz, jo më pak -40db.
Unë shkruaj të dhënat që ju nevojiten në fushat e hyrjes në pjesën e poshtme të faqes dhe klikoni butonin e filtrit të dizajnit. Pas disa sekonda, filtër im është projektuar dhe rezultati në të djathtë në dritare, si një listë e koeficienteve për shumëzuesit: -510, -520, -625, -575, -287, 306, 1232, 2467, 3927 , 547, 6948, 9241, 8962, 9241 8962, 8162, 6948, 5477, 3927, 2467, 1232, 306, -287, -575, -625, -520, -510. Gjithsej koeficientët 27 - kështu që kjo është gjatësia e filtrit dhe do të jetë.
Për shembull, unë dua të ndërtoj një filtër strip. Frekuenca e diskretizimit prej 20 MHz. Le filtrin duhet të shtypë frekuencën nën 1 MHz dhe mbi 4 MHz.
Prezantimi i të dhënave, llogaritjen e koeficientëve të filtrit: -801, -1026, -210, 1914, 4029, 3905, 330, -5174, -8760, -7040, -152, -152, - 7700, -152, - 7040, -8760, -5174, 330, 3905, 4029, 1914, -210, -1026, -801. Këtu gjatësia e filtrit doli 25 çezmat.
Këtu janë koeficientët e filtrave. Çfarë duhet të bëni me ta? Do të ishte mirë të bëhesh një modul parametrik në Verilog HDL në mënyrë që të mund të personalizohet lehtësisht. Nga logjika, parametrat e modulit të filtrit është gjatësia e saj, domethënë numri i linjave të vonesës, shkarkimi i të dhënave të hyrjes dhe shkarkimi i koeficientëve për shumëfishuesit.
Nëse të dhënat e hyrjes Bigness është IWIDTH, dhe numri i regjistrave në zinxhirin e linjës së vonesës është çezmat, është mjaft e thjeshtë për të përshkruar vetë zinxhirin e regjistrit:
moduli FIG (CLK, COEF, në, jashtë);
parametri IWIDTH \u003d 16; // Data e hyrjes (sinjal) gjerësia
tapat e parametrave \u003d 2; // Numri i çezmeve të filtrit
tela e hyrjes CLK;
tela input;
genvar i;
gjeneroj
për (i \u003d 0; i
filloni: Prekni.
// Bëni zinxhirin e regjistrit të trokit
reg r \u003d 0;
nëse (i \u003d\u003d 0)
filloni.
// 1st TAP merr sinjal nga hyrja
gjithmonë @ (posedim CLK)
R.<= in;
fund.
Tjetër.
Filloni.
// Tap Reg merr sinjal nga prev Tap Reg
gjithmonë @ (posedim CLK)
Prekni [I] .r<= tap.r;
fund.
Fund.
pafund.
endmodule.
Generate-EndGenerate Dizajni i gjuhës Verilog ju lejon të dinamike të krijuar numrin e dëshiruar të regjistrave dhe do t'i dënojë siç duhet të jetë në zinxhirin. Ajo rezulton si kjo:
Kështu, gjatësia e filtrit mund të vendoset nëpërmjet parametrit të modulit Verilog. Në mënyrë të ngjashme, krijohen brenda () ciklit brenda () krijuesit e gjeneruar-endgenerate:
genvar i;
gjeneroj
për (i \u003d 0; i
Filloni: Prekni.
........
// Merrni COUF të vazhdueshme të shumëzimit të prekjes
Tela c;
ASSIGN C \u003d COEFS [((çezmat-1-I) * 32 + CWIDTH-1) :( çezmat-1-I) * 32];
// Llogaritni shumëzimin dhe rezultatin e rregullimit në regjistër
Reg m;
Gjithmonë @ (posedim CLK)
M.<= $signed(r) * $signed(c);
............
Kështu, përveç regjimeve të vijës së vonesës, shumëzuesit me koeficientët e tyre do të formohen dhe të lidhen:
Unë vendosa që koeficientët për shumëzuesit mund të transmetohen në modulin e kombinuar në autobus (...) si një sinjal input, si kjo:
fir # (. Çezmat (27)) fir_lp_inst (
.clk (tb_clk),
.coefs (((
-32 "D510,
-32 "D520,
.......
32 "D575,
32 "D625,
-32 "D520,
-32 "D510.
}),
.në (),
.OUT ()
);
Këtu, çdo koeficient i filtrit merr një numër fiks të bit 32 në autobus (kam vendosur që kjo të jetë e mjaftueshme). Por nga këto 32x bit, moduli do të zgjedhë numrin e dëshiruar të njoftuar të bit për koeficientët. Për shembull, parametri CWIDTH \u003d 16; Më lejoni t'ju kujtoj se në numrin e biteve në koeficient për të shumëfishuar varet përfundimisht gjerësia e rezultatit.
Për lehtësinë e zbatimit, unë nuk e kam bërë ende tubacionin për admistorët. Ato mund të bëhen shpejt, për shembull, për dele vlerat nga shumëzuesit pairly, pastaj palosur rezultatet e shtesave të mëparshme çiftëzohet pairwise, dhe kështu me radhë .. ndërsa unë bëra një nëpërkë të madh, si një funksion i madh dhe kompleks kombinator. Sigurisht në PLIS nuk do të jetë në gjendje të punojë në frekuenca të larta, por ndërsa i mbyll sytë për këtë. Për ta bërë atë vetëm të punuar.
Teksti i plotë i modulit do të duket kështu:
Moduli FIG (CLK, COEF, në, jashtë); Parametri IWIDTH \u003d 16; // Data e Input (sinjal) Parametri i gjerësisë CWIDTH \u003d 16; // Prekni gjerësinë e të dhënave të CoEF (duhet të jetë më pak se 32 bit) parametrat e parametrave \u003d 2; // Numri i filtrave të filtrit lokalParam mwidth \u003d (iWidth + CWIDTH); // shumëzuar gjerësi lokaleParam rwidth \u003d (mwidth + taps-1); // Filtri i rezultatit të gjerësisë së tela të hyrjes CLK; Tela input; Coefs tela input; // të gjitha lidhjet e koeficientit të inputit të kontabilitetit; // prodhimi merr vetëm pjesë të lartë pjesë të rezultatit Genvar i; Gjenerojnë për (i \u003d 0; i Nëse ka kohë, unë do të përpiqem të përmirësoj këtë modul, shtoni adders tubacioni. Tani pyetja kryesore është - dhe si të siguroheni që funksionon fare? Si? Po, vetëm! - Ka një simulator Verilog. Unë do të shkruaj një stol të testit që do të imitojë sinjalin e hyrjes në frekuenca të ndryshme dhe do të shohë përgjigjen në prodhimin e filtrit. Edhe me shume. Nëse kutitë e testimit do të sintetizojnë sinjalin e hyrjes si një sinusoid dhe nëse ndryshon pa probleme frekuencën e saj në disa varg, nga frekuenca e ulët në më të lartë, pastaj duke shikuar përgjigjen në prodhimin e filtrit unë do të shohim drejtpërdrejt përgjigjen e saj të frekuencës së saj! Struktura e programit testbench.V: Sinusoid për Testbench Unë kam treguar tashmë. Unë do të marrë atë kod të vjetër, e korrigjoj atë dhe të bëjë një ndryshim të qetë në frekuencën nga 100 khz në 4 MHz në 1000 Hz. Brenda kutisë së testimit do të instalojë dy raste të modulit të filtrit të tij të bredhit (), por me koeficientë të ndryshëm, për filtrin e ulët të kalimit dhe për një filtër Bandpass. Të gjitha stolin e testimit është këtu: `Timescale 1NS / 1NS Module Testbench (); Reg tb_clk; fillestare tb_clk \u003d 0; Gjithmonë # 25 tb_clk \u003d ~ tb_clk; Real Pi \u003d 3.14159265358979323846; Real last_time \u003d 0; // sec real current_time \u003d 0; // SEC Real Angle \u003d 0; // rad frekuencë reale \u003d 100; // hz integer freq_x100khz \u003d 0; // * 100khz roG nënshkruar sin16; // funksioni i cili llogarit sinusin (x) funksionin e vërtetë mëkati; Input X; Real X; Real x1, y, y2, y3, y5, y7, shuma, shenjë; Filloni shenjën \u003d 1.0; x1 \u003d x; nëse (x1.<0)
begin
x1 = -x1;
sign = -1.0;
end
while (x1 > PI / 2.0) Filloni x1 \u003d x1 - pi; Shenjë \u003d -1.0 * shenjë; fund y \u003d x1 * 2 / pi; y2 \u003d y * y; y3 \u003d y * y2; Y5 \u003d Y3 * Y2; y7 \u003d y5 * y2; Shuma \u003d 1.570794 * Y - 0.645962 * Y3 + ,079692 * Y5 - ,004681712 * Y7; Sin \u003d Shenja * Shuma; Detyra përfundimtare e përfundimit set_freq; Input f; Real f; Filloni frekuencën \u003d f; Freq_x100khz \u003d f / 100000.0; Fundi endtask gjithmonë @ (postege tb_clk) fillojnë aktuale_time \u003d $ realtime; Angle \u003d Angle + (aktuale_time-last_time) * 2 * PI * Frekuenca / 1000000000.0; // $ ekran ("% f% f", aktuale_time, kënd); Ndërsa (kënd\u003e Pi * 2.0) Filloni Angle \u003d Angle-PI * 2.0; END SIN16 \u003d 32000 * SIN (kënd); last_time \u003d current_time; END // Low-Pass filtër WIRE OUT_LOWPASS; FIR # (. Çezmat (27)) fir_lp_inst (.clk (tb_clk), .coefs ((-32 "D510, -32" D520, -32 "D575, -32" D577, 32 "D306, 32 "D1232, 32" D2467, 32 "D3927, 32" D5477, 32 "D6948, 32" D8162, 32 "D8962, 32" D9241, 32 "D8962, 32" D8162, 32 "D6948, 32" D5477, 32 " D3927, 32 "D1232, 32" D306, -32 "D575, -32" D575, -32 "D520, -32" D520, -32 "D510)), .in (Sin16),. Out_LowPass)); // Band-Pass Wire Out_bandPass; bredhi # (çezmat (25)) fir_bp_inst (.clk (TB_CLK), .Coefs ((-32 "D801, -32" D1026, -32 "D210, 32" D1914 32 "D4029, 32" D3905, 32 "D330, -32" D5174, -32 "D8760, -32" D7040, -32 "D152, 32" D7700, 32 "D11130, 32" D7700, -32 "D152, -32 "D7040, -32" D8760, -32 "D5174, 32" D330, 32 "D3905, 32" D4029, 32 "D1914, -32" D210, -32 "D1026, -32" D801)). në (Sin16), .OUT (OUT_BANPASS)); Integer i; Real f; fillimi fillestar $ dumpfile ("out.vcd"); $ dumpvars (0, testbench); f \u003d 100000; për (i \u003d 0; i<4000; i=i+1)
begin
set_freq(f);
#1000;
f=f+1000;
end
$finish;
end
endmodule
Unë kaloj simulimin në Icarus Verilog. Si rezultat i simulimit, programi VVP merr një skedar të prodhimit me diagramet kohore të sinjaleve out.vcd. Ato mund të shihen në programin GTKWave. Kjo është ajo që ju mund të shihni: sinjali i hyrjes SIN16 për të dy filtrat ndryshon frekuencën, ajo rritet: Në një frekuencë të gjerë varg nga qindra kilohertz në disa megahertz, ju mund të shihni se cilat frekuenca janë anashkaluar nga filtrat, dhe të cilat janë të shtypur: Sinjali i hyrjes për Sin16 është në mënyrë uniforme në amplitudë në të gjithë brezin e frekuencës. Sinjalet "shqyer" në zonën e frekuencës së ulët janë vetëm gtkwave kështu tërheq, me një rritje (zoom) gjithçka duket normale atje. Por sinjalet e prodhimit janë, të cilat vlerësohen të jenë në prodhimin e filtrave. Filtri i frekuencave të ulëta nga 500 kHz fillon një recesion të butë të sinusoideve të sinusoideve out_lowpass dhe pas filtrit 2 MHz pothuajse nuk mungon. Filtri i grupit të brezit humbet diku në brezin 2-3 MHz, dhe përgjatë skajeve të SPUDIO (SIGNAL OUT_BANPASS). Për të konsideruar me kujdes pamjen që duhet të përdorni karakteristika të veçanta të programit GTKWave. Këtu janë disa këshilla të dobishme: Një tjetër këshillë: GTKWave mund të shfaqin një sinjal analog nga lartësia, duke marrë parasysh të gjitha mostrat e kohës simulimit ose duke marrë parasysh vetëm mostrat që janë vendosur në dritare. Nëse ju vendosni të Dhënat Format \u003d\u003e Analog \u003d\u003e Resizing \u003d\u003e Screen Data, që është, në qoftë se shkallë vertikale do të marrë parasysh vetëm të përshtatet në dritare mostër, ju mund të shihni petals anësore të karakteristikës amplitudë-frekuencave i filtrit: Për fillimin Filtrat dixhital (leksion)
Sipas llojit të karakteristikave impulsive, filtrat digjitalë ndahen në dy klasa të mëdha: ·
Plotësoni:
\u003e Iverilog -O qqq Testbench.V fir.v
Simuluar:
\u003e Vvp qqq.
Unë shikoj tabela të përkohshme në gtkwave:
\u003e Gtkwave out.vcd
Filtrat karakterizohen nga shprehja:
· Filtra me një karakteristikë të pafund impuls (Bix - filtra, filtra gjithkund rekursive) të përdorë një ose më shumë nga prodhimet e tyre si një input, që është, formular përshtypjesh. Prona kryesore e filtrave të tilla është se karakteristika e tranzicionit të tyre impuls ka një gjatësi të pafund në domenin e kohës dhe funksioni i transferimit ka një pamje racionale të pjesshme.
BiH - Filtrat karakterizohen nga një shprehje:
Dallimi në mes të filtrave nga filtrat e BiH - është se në KIH - Reagimi i filtrimit varet nga sinjalet e hyrjes, dhe në filtrat e BiH, reaksioni i prodhimit varet nga vlera aktuale.
Karakteristikë e pulsit - Kjo është një reagim i diagramit ndaj një sinjali të vetëm.
E. sinjali dinik Të përcaktuara si më poshtë:
Në këtë mënyrë, një sinjal i vetëm është vetëm në një moment të barabartë me një - në pikën e origjinës së koordinatave.
I ndaluar E. sinjali dinik Të përcaktuara si më poshtë:
Në mënyrë të ndaluar një sinjal i vetëm mbank periudhat e diskretizimit.
Sinjalet dhe spektrin
Dualitetin (dualitetin) e sinjaleve.
Të gjitha sinjalet mund të përfaqësohen në një aeroplan të përkohshëm ose të frekuencave.
Për më tepër, aeroplanët e frekuencës janë disa.
Aeroplan i përkohshëm. |
Konvertim. |
Aeroplan frekuencash. |
Për të parë sinjalin në planin e kohës ekziston një pajisje: Paramendoni se ka një sinjal mjaft të gjatë sinusoidal (1 sek 1000 herë sinusoid në mënyrë të përsëritur): Merrni një sinjal me një frekuencë, dy herë më shumë: Duke lëvizur këto sinjale. Ne nuk do të marrim sinusoid, por një sinjal i shtrembëruar: |
Transformimet nga aeroplani i kohës në aeroplanin e frekuencës bëhen duke përdorur transformime Furier. |
Për të parë sinjalin në planin e frekuencës ekziston një pajisje: Frekuencë ciklike ose rrethore ( f. ). Aeroplani i frekuencës do të tregojë Serif: Madhësia e skenës është proporcionale me amplitudën e sinusit, dhe frekuencën: f 1 \u003d. Për sinjalin e dytë, rajoni i frekuencës do të tregojë një pikë tjetër: Në rajonin e përkohshëm të sinjalit do të shfaqet 2 Serifs: |
Të dy indikacionet e sinjalit janë ekuivalente dhe përdorin ose përfaqësimin e parë ose të tjerë, në varësi të asaj që është më i përshtatshëm.
Konvertimi nga plani i kohës në aeroplanin e frekuencës mund të bëhet në mënyra të ndryshme. Për shembull: Përdorimi i transformimeve të laplace ose përdorimi i transformimeve të Furierit.
Tre forma të shënimeve të serisë Fourier.
Ekzistojnë tre forma të të dhënave të serisë Fourier:
· Sinusi është një formë e kositjes.
· Formë reale.
· Formë gjithëpërfshirëse.
1.) Në Sinus - Kozmetikë formë Seria Furierit ka formën:
Të përfshira në formulën për frekuencë të shumëfishtë kω. 1 i quajtur harmoni; Harmonics numërohen në përputhje me indeksin k.; frekuencë ω k \u003dkω. 1 i quajtur k.- Sinjal harmonik.
Kjo shprehje tregon sa më poshtë: se çdo funksion periodik mund të përfaqësohet si një shumë e harmonizmit, ku:
Ku
T. - një periudhë të përsëritjeve të këtij funksioni;
ω - frekuencë rrethore.
ku
t.- Koha aktuale;
T.- Periudha.
Kur zgjerohet Furierit, gjëja më e rëndësishme është periodiciteti. Për shkak të saj, marrja e mostrave ndodh në frekuencë, fillon siguria e harmonizmit.
Për të vendosur mundësinë e dekompozimit trigonometrik për një funksion periodik të caktuar, ju duhet të vazhdoni nga një grup specifik i koeficientëve. Pritja për përkufizimin e tyre doli në gjysmën e dytë të shekullit të 18-të Euler dhe pavarësisht nga ai në fillim të shekullit XIX - Furierit.
Tre formulat e Euler për të përcaktuar koeficientët:
; ;
Formulat e Euler nuk kanë nevojë për ndonjë dëshmi. Këto formula janë të sakta me numrin e pafund të harmonikës. Seria Furierit - rresht i prerë, sepse Nuk ka numër të pafund harmonikë. Koeficienti i rreshtuar i rreshtit llogaritet nga formulat e njëjta si për rresht i plotë. Në këtë rast, gabimi mesatar kuadratik është minimal.
Fuqia harmonike bie me një rritje të numrit të tyre. Nëse shtoni / hidhni disa komponentë harmonikë, atëherë nuk është e nevojshme rillogaritja e anëtarëve të tjerë (harmonikë të tjerë).
Pothuajse të gjitha funksionet janë edhe ose të çuditshme:
Funksioni i shikimit |
Funksioni i rastësishëm |
Karakterizuar nga ekuacioni: Për shembull, një funksion Cos.: e cila: t \u003d -t Një funksion edhe është relative simetrike ndaj akset e ordinate. Nëse funksioni është edhe, atëherë të gjithë sinus faktorë b K.do të jetë zero dhe në formulën e serisë Fourier do të jetë i pranishëm vetëm kosin Nënshkruar. |
Karakterizuar nga ekuacioni: Për shembull, një funksion Mëkat.: Një tipar i çuditshëm është simetrik për qendrën. Nëse funksionet janë të çuditshme, atëherë të gjitha koeficientët e kositjes një K.do të jetë zero dhe në formulën e serisë Fourier do të jetë i pranishëm vetëm sine Nënshkruar. |
2.) Formë reale Të dhënat e serisë Fourier.
Disa shqetësime të formës së sine-kositjes së një serie furier është ajo për çdo vlerësim të indeksit të përmbledhjes k. (i.E. për çdo harmonik me frekuencë kω. 1) Formula shfaqet dy terma - Sinus dhe Cosine. Duke përfituar nga formulat e transformimeve trigonometrike, shuma e këtyre dy termave mund të shndërrohet në kosinën e së njëjtës frekuencë me një amplitudë të ndryshme dhe një fazë fillestare:
ku
;
Nese nje S.(t.) është një funksion i barabartë, faza φ mund të marrin vetëm vlerat 0 dhe π , po nese S.(t.) - Funksioni është i rastësishëm, atëherë vlerat e mundshme të fazës φ i barabartë + π /2.
3.) Formë gjithëpërfshirëse Të dhënat e serisë Fourier.
Kjo formë e paraqitjes së një numri të Furierit është ndoshta më i përdorur në radio inxhinieri. Ajo është marrë nga një formë e vërtetë e një prezantimi kosinus në formën e një gjysmë-zhvendosje eksponent (përfaqësim të tillë një rrjedh nga formula Euler e jθ. = Cosθ. + jsinθ.):
Duke aplikuar këtë transformim në formën reale të një serie të Furierit, ne marrim sasinë e eksponentëve të integruar me tregues pozitivë dhe negativë:
Dhe tani ne do të interpretojmë ekspozuesit me shenjën "minus" në treguesin si anëtarë të një numri me numra negativë. Si pjesë e të njëjtit qasje të përgjithshme, termi i vazhdueshëm a. 0/2 do të jetë një anëtar i një rreshti me një numër zero. Si rezultat, do të merret një formë gjithëpërfshirëse e regjistrimit të serisë Fourier:
Formula për llogaritjen e koeficientëve C K. Seri Fourier:
Nese nje S.(t.) eshte nje madje funksioni, koeficientët e rreshtit C K.do të jetë e pastër i vërtetë, po nese S.(t.) - Funksioni i çuditshëm, koeficientët e serisë do të jenë të pastra mnimami.
Ambregati i amplitudës Row Furier është quajtur shpesh spektër amplitudë, dhe agregatin e fazave të tyre - spektrin e fazës.
Spektri i amplitudeve është pjesa aktuale e koeficientëve C K. Seri Fourier:
Ri ( C K.) - Spektri i amplitudeve.
Spektrin e sinjaleve drejtkëndëshe.
Konsideroni një sinjal në formën e një sekuence të pulses drejtkëndëshe me një amplitudë A., Kohëzgjatja τ dhe periudha e përsëritjes T.. Fillimi i numërimit të kohës është i dukshëm i vendosur në mes të pulsit.
Ky sinjal është një funksion i barabartë, kështu që është më i përshtatshëm për të përdorur formën sinus-kosine të serisë Fourier - vetëm kushtet e kosinës do të jenë të pranishme në të. një K.E barabartë:
Nga formula, mund të shihet se kohëzgjatja e pulses dhe periudhën e pasuesi i tyre nuk janë të ndara në të, por vetëm si një marrëdhënie. Ky parametër është raporti i periudhës në kohëzgjatjen e impulsit - telefononi trishtim Sekuencat e pulsit dhe tregojnë letrën: g: g \u003d T./ τ. Ne e prezantojmë këtë parametër në formulën që rezulton për koeficientët e serisë Fourier, dhe pastaj ne japim formulën në formatin e formës (x) / x:
Shënim: Në literaturën e huaj, në vend të një detyre, një vlerë e kundërt e quajtur koeficienti i mbushjes (cikli i detyrës) dhe i barabartë me τ / T..
Me një formë të tillë të regjistrimit, bëhet qartë e dukshme, e cila është e barabartë me vlerën e kushteve të vazhdueshme të serisë: që nga ajo kohë x. → 0SIN ( x.)/x. → 1, atëherë
Tani ju mund të regjistroni prezantimin e sekuencës së pulses drejtkëndëshe në formën e një serie të Furierit:
Amplitudet e kushteve harmonike të serive varen nga numri harmonik nën ligjin e mëkatit ( x.)/x..
Grafiku i funksionit të mëkatit ( x.)/x.ka një karakter petal. Duke folur për gjerësinë e këtyre petals, duhet të theksohet se për grafikët e spektrit diskrete të sinjaleve periodike Ka dy opsione për gradimin e boshtit horizontal - në dhomat e harmonikës dhe në frekuenca.
Në figurën, gradimi i boshtit korrespondon me numrat e harmonikës, dhe parametrat e frekuencës Spektri aplikohet në grafik me ndihmën e linjave dimensionale.
Pra, gjerësia e petals, e matur në sasinë e harmonikës, është e barabartë me Wellness e sekuencës (kur k. = ng.kanë Mëkat. (π k /g.) \u003d 0 nëse n.≠ 0). Prej këtu ai ndjek pronën e rëndësishme të spektrit të sekuencës së pulses drejtkëndëshe - nuk ka (kanë zero amplitudë) të harmonikëve me numra, sëmundje të shumëfishta).
Distanca në frekuencën midis harmonikës ngjitur është e barabartë me frekuencën e pulses - 2 π /T.. Gjerësia e spektrit petals të matura në njësitë e frekuencës është e barabartë me 2 π /τ . Në mënyrë proporcionale me kohëzgjatjen e pulsit. Ky është një manifestim i një ligji të përgjithshëm - sa më i shkurtër sinjali, më i gjerë spektri i saj.
Prodhim : Për çdo sinjal, dekompozimi i saj njihet në serinë Fourier. Njohje τ dhe T. Ne mund të llogarisim se sa harmonikë duhet të kalojnë pushtetin.
Metodat për analizimin e sistemeve lineare me koeficientë të vazhdueshëm.
Detyra në vendosjen:
Ekziston një sistem linear (i pavarur nga amplitudë e sinjalit):
Është e nevojshme të regjistrohen një ekuacion diferencial për këtë sistem.
Ky është një detyrë tipike e inxhinierisë elektrike. Ka një mënyrë të fuqishme për të zgjidhur këtë problem në domenin e kohës.
Në përgjithësi:
Urdhri i ekuacionit varet nga numri i elementeve të avionit.
Mund të regjistrohet në formën e një sistemi të ekuacioneve të shkallës së parë.
Shembull :
U R. \u003d Ir
U c \u003d.
I \u003d C.
U R. + U c \u003d x (t)
RC +.U c \u003d.X (t)
U C. - eshte nje Y. Prodhimi, kështu që: RC +.U jashtë. \u003d.X (t)
Një vendim i mëtejshëm është reduktuar për të zgjidhur ekuacionin homogjen të parë, dhe pastaj inhomogjene.
Kjo zgjidhje është thjesht e thjeshtuar kur përkthehet nga aeroplani kohor në një plan tjetër të një ndryshoreje komplekse. Transferimi nga plani i kohës në aeroplan kompleks është bërë nga transformimi direkt i Laplace.
Rcy " + Y. = X.(t.)
Ekuacioni i ndryshimit është llogaritur.
Transformimi i drejtpërdrejtë i Laplace.
Transformimi i Laplace - Funksioni i integruar i konvertimit S.(p.) alternuar komplekse ( foto) Me funksion s.(x.) alternuar të vlefshëm ( origjinal).
Laplace transformon luajnë shumë rol i rendesishem Në studimin e sistemeve të përshkruara nga ekuacionet diferenciale lineare. Duke përdorur transformimin e drejtpërdrejtë të Laplace, ju mund të shkoni nga ekuacione diferenciale për algjebrike, t'i zgjidhni ato në formë algjebrike, dhe pastaj me ndihmën e transformimit të kundërt, merrni rezultatin e dëshiruar. Një rezultat i ngjashëm arrihet në zgjidhjen e ekuacioneve të diferencës lineare kur përdorin aparatin e konvertimit.
Transformimi i drejtpërdrejtë i Laplace kryhet nga formula: ku - një ndryshore komplekse ku σ - zbutje.
Shembull :
Reagimi i sistemit ndaj funksionit të deltës të paraqitur në input është quajtur puls karakteristikë Sistemet.
Reagimi i sistemit ndaj funksionit të një kërcimi të vetëm të thirrur në input është quajtur karakteristika kalimtare.
Derivati \u200b\u200bi një funksioni është shumëzimi i këtij funksioni p.:
Dhe integrimi i kohës disa funksione janë të ndahen në këtë funksion p.:
Në përputhje me këtë, shprehja: Rcy " + Y. = X.(t.) Gabime si kjo: RCPY. + Y. = X.(p.)
Zgjidhja relativisht Y.Ne do të marrim: Y (Rcp + 1)= X.(p.)
Koeficienti i transmetimit i këtij ekuacioni:
Në planin e një alternimi kompleks, kjo është:
Këtu XP.- Ata morën si një funksion të njësisë së testimit. Kjo do të thotë kjo karakteristikë impuls në P.- Regjistrimi.
Nuk ka ndryshore në numëruesin. Numri rrënjët quhen zero Karakteristikat e transmetimit.
Në pikat e zeros, funksioni i transmetimit është zero, dhe në pikat e shtyllave, funksioni i transmetimit tenton të pafund.
Frekuenca komplekse në planin e një ndryshore komplekse është mënyra më e lehtë për të kontrolluar stabilitetin e sistemit. Sistemi quhet i qëndrueshëmNëse me një sinjal zero të hyrjes, prodhimi do të shpërthejë nën ndonjë kusht fillestar. Sistemi linear është i qëndrueshëm nëse dhe vetëm nëse polet e funksionit të transferimit të saj qëndrojnë në gjysmën e sipërme të majtë.
Transformimi i Furierit.
Transformimi i Furierit vendos një sinjal të specifikuar në kohë, funksioni i saj spektral. Në këtë rast, kalimi nga rajon i përkohshëm në frekuencë.
Transformimi i Furierit ofron një bazë për marrjen e karakteristikave të frekuencës dhe fazës (ne duam të marrim një spektër të rrotullimit). Transformimi Furierit është një formë e rrëzimit privat në Laplace σ = 0.
Për shembull:
Ne marrim karakteristikat e frekuencës dhe fazës për zinxhirin e thjeshtë të diskutuar më lart, në të cilin koeficienti i transmetimit:
Transformimi i Furierit ndryshon nga transformimi i Laplace nga ajo që ka: p. = jω.Prandaj, shprehja jonë do të marrë formën e mëposhtme:
Përgjigja e frekuencës është varësia e modulit të koeficientit të transmetimit nga frekuenca.
Multiply numëratorin dhe emëruesin e kësaj fraksioni në një numër kompleks (1- jωrc.) (duke supozuar se vlera e fraksionit nuk do të ndryshojë nga kjo):
Prandaj, moduli i koeficientit të transmisionit përcaktohet nga shprehja:
Në zero, moduli i koeficientit të transmisionit është i barabartë me një, dhe me frekuencë në rritje fillon të bjerë:
Me dy vlera të FFH do të duken:
Kështu, për të analizuar çdo sistem, është e nevojshme për të ndërtuar të gjitha karakteristikat.
Transformimi diskrete Laplace.
Të gjitha të rishikuara më parë - lidhur me funksionet e vazhdueshme. Nëse në një funksion të vazhdueshëm në vend t. vë mënjanë kt. Dhe në vend të pjesës integrale për të zëvendësuar shumën, do të ketë një transformim të lapta.
Transformimi i Laplace përdoret në sistemet e kontrollit kompjuterik. Transformimi diskrete Laplace mund të aplikohet në funksionet e grilave.Funksioni i grilës është një funksion vlerat e të cilit përcaktohen vetëm në momente diskretekt.ku k është një numër i plotë, dhe T.- Periudha e mostrimit.
Transformimi diskrete Laplace bën të mundur regjistrimin e faktorit të transmetimit. Të dallojëD. -Prica I.Z. -Prapa.
D. – konvertim :
Z. - Konvertimi:
Z-transformimi transformon një aeroplan gjysmë në një aeroplan tjetër Z. Z-konvertim - Kjo është një funksioni i listave të llambës transformim, i prodhuar nga zëvendësimi i variablave:
Shumëzimi në Z -1 është një ndryshim për një periudhë diskretizimi.
Merrni shprehjen fillestare nga e cila kemi filluar:
Prandaj procedura kompjuterike është tërhequr si më poshtë:
Sipas pronave të Z-konvertimit, vonesa e sekuencës diskrete në një orë korrespondon me shumëzimin e konvertimit të Z-së në Z -1. Prandaj, elementet e kujtesës që kryejnë një vonesë të tillë tregohen në skemën strukturore si " Z -1 ".
Numri i numrave të mëparshëm të përdorur është quajtur filtri i rendit.
Disa mostra të mëparshme të sinjalit të inputeve ruhen në qelizat e kujtesës që formojnë një vijë të vonesës diskrete. Këto akuza janë shumëzuar me koeficientët e BK dhe janë përmbledhur duke formuar numërimin e prodhimit Y (n).
Meqenëse llogaritjet nuk përdorin akuzat e mëparshme ditë pushimi Sinjal, nuk ka reagime në diagram. Prandaj, janë thirrur filtra të tillë pa mbikëqyrje. Kur një impuls i vetëm zbatohet në të dhëna, ajo do të lëvizë përgjatë vijës së vonesës, shumëfishohen nga koeficientëtb. 0 , b. 1 , b. 2 ... dhe të kalojë në prodhimin e pajisjes (në fund të fundit, të gjitha sinjalet e tjera të inputeve të shtojcës në të njëjtën kohë janë zero). Natyrisht, në pajisjen e vërtetë, linja e vonesës përmban një numër të caktuar elementësh, kështu që karakteristika impulsive e një filtri jo të sistemuar është gjithashtu i fundosur Me kohëzgjatje. Kjo çoi në një emër tjetër të filtrave të tilla - filtra me karakteristika përfundimtare e impulsit (Filtra kih).
Skema strukturore softuer Për filtrin KIH:
Programi:
Ortfil eka 40; Filtër të rendit të forta.
Buffer m, ortfil; Kontrolloni mundësinë e krijimit të një tampon ciklik.
CoEffs: DS B 0, B 1, B 3
DSB4, B5, B6
…………………
DS B 37, _VVOD EQU Y: FFC 0; Ne përcaktojmë portet e inputeve.
Port _vivod equ y: ffc 1; Përcaktojnë portet e prodhimit.
Org p: 0; Organizata P-Pammyti.
Rivendos: Filloni JMP; Tranzicion i pakushtëzuar për të filluar etiketën.
P: 100; Programi do të fillojë me një qelizë të qelizës.
Filloni: lëvizni buf _x, r 0; Adresa fillestare X futet në R 0.
Lëvizni # ortfil ─1, m 0; perek.k mod.arif. (Rec 1 perm, se sa është rreth. Kjo është një buff.)
Lëvizni # CoEffs, R4; Cikli i organizatës. BUPER për koeficientët. në y -pamyti.
Lëviz # m 0, m 4; Sepse duhet të përkojë, pastaj Perez. Nga m 0 deri në m 4.
Cla; Hiqeni baterinë.
Rep #oldfil; Përsëris operacionin e zinxhirit.
Lëvizni A, X: (R4) +; Zgjatur. Autocmenty dhe të gjitha qelizat buff.
LOOP: LEVEP Y: PORT _VVOD, X ─ (R 0); shtyni leximet (minutën e fundit. b 0.).
Rep #oldfil ─1; Reps. Operacioni i ngarkuar (39 lloje Umn. E rrumbullakosjes)
MAC x 0, y 0, një x: (r 0) +, x 0y: (r 4) +, y 0; umn.x 0n 0, res. Në AK; Podg.сл.oper.
Macrx0, y0, a
LEVEP A, Y: Port _vivod; Tërheq përmbajtjen e përcjelljes. bateri.
JMP loop; Tranzicion i pakushtëzuar në etiketë loop.
Procedura për hartimin e filtrave digjital.
Rendi i dizajnimit të filtrave digjitale është kryesisht i lidhur me llojin e filtrit përgjatë vijës së frekuencës. Një nga shpesh që rrjedhin në praktikën e detyrave është krijimi i filtrave që transmetojnë sinjale në një brez të veçantë frekuencash dhe vonon frekuencat e mbetura. Ka katër lloje:
1.) Filtrat më të ulët të frekuencës (FNH; Term Anglisht -filtër të ulët të kalimit ) Transmetimi i frekuencave fetë më të voglaω 0.
2.) Filtrat e frekuencës së sipërme (FVCH; Termi Anglisht -filtër të lartë të kalimit ) Transmetimi i frekuencave, fetë e madhe fetëω 0.
3.) Filtrat e shiritave (PF; Term Anglisht -band - Pass filter ) transmetimin e frekuencave në disa vargω 1…. ω 2 (ato gjithashtu mund të karakterizohen nga një frekuencë mesatareω 0 = (ω 1 + ω 2 ω = ω 2 – ω 1 ).
4.) filtra regjistrues (emra të tjerë të mundshëm - një filtër pengues, një prizë filtri, një filtër të vonesës së shiritit;band - Stop Filter ) Transmetimi çdo gjë frekuencë përveç shtrirë në disa varg ω 1…. ω 2 (ato gjithashtu mund të karakterizohen nga një frekuencë mesatareω 0 = (ω 1 + ω 2 ) / 2 dhe gjerësi bandwidth δ ω = ω 2 – ω 1 ).
Forma ideale e filtrave të reagimit të frekuencave të këtyre katër llojeve:
Megjithatë, një formë e tillë ideale (drejtkëndore) AHH nuk mund të zbatohet fizikisht. Prandaj, janë zhvilluar një numër metodash në teorinë e filtrave analoge. përafrimreagimi i frekuencës drejtkëndore.
Përveç kësaj, duke llogaritur FGC, ju mund të ndryshoni frekuencën e saj të prerjes me transformime të thjeshta, ta ktheni atë në një pvch, strip ose një filtër me avull me parametra të caktuar. Prandaj, llogaritja e filtrit analog fillon me llogaritjen e të ashtuquajturit prototipi i filtritqë përfaqëson një foshnjëri slicer, të barabartë me 1 rad / s.
1.) Batterworth Filter:
Filtri i filtrit të filtrit-prototop PATTTOP (Butterworth Filter. ) nuk ka zero, dhe polet e saj janë të vendosura në mënyrë të barabartës.-Lelositeti në gjysmën e majtë të perimetrit të një rrezeje të vetme.Për një filtër Batterworth, frekuenca e ndërprerjes përcaktohet nga niveli 1 /. Filtri i batterworth siguron maksimumi i sheshtë Krye në bandwidth. |
|
2.) Chebyshev filtron llojin e parë:
Funksioni i transferimit të filtrit Chebyshev Lindore e parë (Chebyshev Type I Filter ) Gjithashtu nuk ka zero, dhe polet e saj janë të vendosura në gjysmën e majtë të elips nës.-Lelos. Për filtrin Chebyshev të llojit të parë, frekuenca e fetë përcaktohet nga niveli i ripples në bandwidth.Krahasuar me filtrin e Butterworth të së njëjtës rend, filtri Chebyshev ofron një përgjigje të mprehtë të ACH në zonën e tranzicionit nga bandwidth në goditjen e vonesës. |
|
3.) Filter Chebyshev Lindore e dytë:
Funksioni i transferimit të filtrit Chebyshev (Filtri i tipit II Chebyshev ), në kontrast me rastet e mëparshme, ajo ka zero, dhe polakët. Filtrat Chebyshev të llojit të dytë quhen filtra të anasjelltas Chebyshev (filtri i thellë Chebyshev. ). Frekuenca e filtrit të shërimit Chebyshev të dytë është në fund të bandwidth, por fillimi i stallës së vonesës. Koeficienti i transmetimit të filtrit në frekuencën zero është 1, në frekuencën e prerjes - niveli i specifikuar i ripples në goditjen e vonesës. Për ω → ∞ Koeficienti i transmetimit është zero me një rend të çuditshëm të filtrit dhe niveli i ripples - me edhe. Për ω = 0 SCH filter Chebyshev i llojit të dytë është aq i sheshtë sa të jetë e mundur. |
|
4.) Filtrat eliptikë:
Filtra eliptik (filtrat e Kaura; termat anglisht -filtër eliptik, filtri Cauer ) Në një kuptim të caktuar, vetitë e filtrave të parë dhe të dytë të filtrave janë të kombinuara në vetvete, pasi që filtri Eliptik Ach ka pulsacione të një vlere të caktuar, si në bandwidth dhe në goditjen e vonesës. Për shkak të kësaj, është e mundur të sigurohet maksimumi i mundshëm (me një urdhër fiks të filtrit) pjerrësia e skate ACH, I.E. Zona e tranzicionit midis bandwidthit dhe grupeve të paraburgimit. Funksioni i transmetimit të filtrit eliptik ka të dy polet dhe zero. Zeros, si në rastin e filtrit Chebyshev të llojit të dytë, janë thjesht imagjinare dhe formojnë çifte gjithëpërfshirëse-konjugate. Numri i zeros të funksionit të transmisionit është i barabartë me numrin maksimal që nuk e tejkalon rendin e filtrit. |
Funksionet e Matlabit Për të llogaritur filtrat e Batterworth, Chebyshev të llojit të parë dhe të dytë, si dhe filtrat eliptik, ju lejojnë të llogarisni filtrat analogë dhe diskrete. Funksionet e llogaritjes së filtrit kërkojnë detyra si parametra të hyrjes në rendin e filtrit dhe frekuencën e saj të ndërprerjes.
Urdhri i filtrit varet:
- nga jo-uniformiteti i lejuar në bandwidth
- nga madhësia e zonës së pasigurisë. (Sa më i vogël është zona e pasigurisë, më e madhe rënia në përgjigjen e frekuencës).
Për Urdhri i filtrave KIH është disa duzina ose qindra, dhe për filtrat e BiH, rendi nuk kalon disa njësi.
Pictograms bërë të mundur për të parë të gjithë koeficientët. Dizajni i filtrit bëhet në një dritare.
Filter me një karakteristikë puls të fundme (jo filtër, një filtër KIH, një filtër bredhi) - një nga llojet e filtrave elektronike lineare, tipar karakteristik e cila është e kufizuar nga koha e karakteristikës së impulsit të saj (nga njëfarë kohe bëhet pikërisht zero). Një filtër i tillë quhet edhe jo-neuristik për shkak të mungesës së reagimeve. Një emërues i funksionit të transferimit të një filtri të tillë është një konstante e caktuar. Filtra jo të fshirë. Në zero vlerat e koeficientëve një m, ekuacioni (2.1.2) hyn në ekuacionin e një konvolucioni linear diskrete të funksionit x (k) me operatorin b n:
y (k) \u003d b n x (k-n). (2.1.3)
Vlerat e mostrave të prodhimit të Convolution (2.1.3) për çdo argument K përcaktohen nga vlerat aktuale dhe "të kaluara" të mostrave të inputeve. Një filtër i tillë quhet një filtër dixhital i pa sistemizuar (NCF). Intervali i përmbledhjes nga n marrë emrin "dritare" të filtrit. Dritarja e filtrit është N + 1 Countdown, filtri është një kaus i njëanshëm, i.E. Shkaktuar nga vlerat aktuale dhe "të kaluara" të sinjalit të hyrjes, dhe sinjali i prodhimit nuk mund të zbulohet. Filtri shkakor mund të zbatohet në kohë reale fizikisht. Në K. Kur të dhënat e përpunimit të të dhënave në një kompjuter, kufizohet shkaku shkaktar. Programi i filtrave mund të jetë "vlera" e kaluara "dhe" e ardhmja "e sektës së hyrjes së mostrave në krahasim me pikën aktuale të llogaritjes K, dhe ekuacioni (2.1.3) do të shikojnë: y (k) \u003d b n x (k-n). (2.1.4) Për n "\u003d n, filtri quhet simetrik i dyanshëm. Filtrat simetrik, ndryshe nga filtrat e njëanshme, nuk ndryshojnë fazat e sinjalit të përpunuar. Meqenëse reagimi i NCF në një puls të vetëm të hyrjes (si dhe çdo sinjal i inputeve arbitrare) është gjithmonë i fundëm dhe është i kufizuar në madhësinë e dritares së filtrit, filtra të tillë janë quajtur edhe filtra me një karakteristikë të kufizuar të pulsit (filtra KIH). Teknika e filtrimit nuk ndryshon nga teknika e kryerjes së një konvolucioni konvencional diskrete të dy vargjeve të të dhënave. Detyrë: Për të studiuar pozicionet themelore të zinxhirëve në lidhje me filtrat QIH. Kryen një llogaritje paraprake, me shkrim për t'iu përgjigjur pyetjeve për vetë-testim. Të kryejë një llogaritje paraprake. Mblidhni skemën 1, e cila përfshin një burim të tensionit të puls, një filtër tre-lindur. Ndërtoni një përgjigje frekuence të një filtri KIH me katër yje. (Ndrysho formulën H (z)) Ndërtoni filtrin KIH të AHH me pesë pishinë. (Ndrysho formulën H (z)) Krijo grafikë të marra në një llogaritje paraprake me grafikët e marra në programin mikro-CAP. Të bëjë një përfundim. Vlerësimi: Eksperiment: Ne mbledhim skemën 1, e cila përfshin një burim të tensionit të pulsuar, një filtër tre-lindur. Ndërtoni një përgjigje frekuence të një filtri me tre yje Kih. Ndërtoni një përgjigje frekuence të një filtri KIH me katër yje. Ndërtoni një përgjigje frekuence të një filtri me tre yje Kih. Prodhimi: Në këtë punë laboratorike, duke përdorur programin e mikro-kapakut, karakteristikat kryesore të kohës dhe frekuencave të filtrave me një karakteristikë të efektshme të pulsit (filtrat e KIH). Për skemën 1, e cila përfshin një burim të tensionit të pulsit, filtri filtri është marrë me një numër të ndryshëm lidhjesh. Kurbat e fituara eksperimentalisht ishin të barabarta me kthesat që janë marrë në llogaritjen paraprake.